FPGA Insights  FPGAをもっと知りたい方向けの情報サイト





「開発期間の短縮」や「コストダウン」といった、機器設計者が抱える悩みを解決できる手段の1つとして、FPGAの採用が拡大している。これまでFPGAになじみの薄かった設計者にとっても、さまざまな電子機器の設計にFPGAを使いこなしてみる好機が訪れている。本稿では『これさえ知っていればFPGAの設計ができる』という4つの基本ステップを4回にわたって解説する。今回はステップ2となる『制約設定』についてわかりやすく解説する……

(2013年12月16日)

 バックナンバー

FPGAの用途が民生電子機器や産業機器などにも拡大している。「開発期間の短縮」や「コストダウン」といった、機器設計者が抱える悩みを解決できる手段の1つとして、FPGAの認知度が高まってきたからだ。合わせて、開発ツールも高機能/高性能化しつつ、GUIの採用などで操作性が格段に進化していることもその理由として挙げることができよう。これまでFPGAになじみの薄かった設計者にとっても、さまざまな電子機器の設計にFPGAを使いこなしてみる好機である。まずは『これさえ知っていればFPGAの設計ができる』という4つの基本ステップを4回にわたって解説する……

システム・レベルの機能をワンチップに集積する手法として、FPGAの活用が注目されている。とくに、高性能プロセッサを内蔵したSoC FPGAが登場したこともあり、その動きに弾みを付ける。こうしたSoC FPGAを有効活用するには、ハードウェアの開発環境と並んで、ソフトウェア開発を容易にするツールのサポートが重要となる。本稿ではソフトウェアの開発効率を高めることができる「仮想プロトタイピング環境」など、最新のソフトウェア開発環境について紹介する……

「次世代システムの回路設計にFPGAを導入したいが、適切なコストでもっと性能の高いプロセッサを採用したい」――こうした設計者のニーズに応えるFPGA製品をアルテラが発表した。このFPGAは、デュアルコア・プロセッサ「ARM Cortex-A9 MPCore」をハードウェアIPとして実装しており、より高機能なSoCを実現することができる。本稿では、最新SoC FPGAの概要について解説する……



 DESIGN&METHODOLOGY

低消費電力の差動伝送規格:

SLVSは、データ信号を高速かつ低消費電力で伝送する用途において、LVDSに替わって利用される機会が増加しているデータ伝送規格である。FPGAにSLVSを実装する場合には、LVDSを実装する場合とは異なるさまざまな知見が必要になる。本稿では、SLVSの概要と、FPGAにおける応用例を紹介する。

(2011年9月20日)
FIRフィルタの効率的な実装手法 :

アップ/ダウンサンプリングに代表されるデジタル信号処理では、多くの場合、FIRフィルタが利用される。FIRフィルタでは、タップ数を増やせば優れた応答特性が得られるが、ロジック回路の規模や消費電力が増えてしまうことが問題になるケースもある。例えばFPGAでは、ポリフェーズフィルタの考え方を導入することで、こうした問題に対処することが可能になる。

(2010年9月1日)
主要プロダクトと実装時の課題を解説!:

FPGAに実装できるプロセッサコアは、FPGAベンダーやIPベンダーから、さまざまなプロダクトが提供されている。それらは、ハードコアやソフトコアという違いだけでなく、機能、回路規模、コストなどについても異なっている。後編では、これらのプロセッサコアをFPGAに実装する際の課題について、インターコネクト、メモリー、アクセラレータ、デバッグという4つの観点に分けて解説する。

(2011年8月30日)
主要プロダクトと実装時の課題を解説!:

FPGAに実装されるさまざまな回路の中で、プロセッサコアの果たす役割の重要度が高まっている。しかし、プロセッサコアをFPGAに実装して、有効に活用するためにはいくつかの課題に留意しておく必要がある。本稿では、まず、FPGAにおけるプロセッサコア利用の歴史と、主要ベンダーのプロダクトを紹介する。

(2011年8月23日)

 FPGA Insightsについて

FPGA Insightsでは、FPGAについて詳しく知りたい方向けに、より実践的な情報を分かりやすく解説し、発信いたします。

提供:日本アルテラ株式会社
アイティメディア営業企画/制作:EDN Japan編集部/掲載内容有効期限:2013年12月31日

Copyright© 2024 ITmedia, Inc. All Rights Reserved.

FPGA LEARNING CENTER      [PR]

FPGAについて、基礎からわかりやすく解説しています。


初級者から熟練者まで、FPGA設計技術を向上させたいすべての人のためのトレーニング講座。
オンライン講座は視聴無料です。

ALTERA FPGA最新情報        [PR]

アルテラの製品・ソリューション・サービス等についての最新情報をお届けします(週1回)

RSSフィード

公式SNS

EDN 海外ネットワーク

All material on this site Copyright © ITmedia, Inc. All Rights Reserved.
This site contains articles under license from AspenCore LLC.